【实现直接数字频率合成器的三种技术方案】三种方案

实现直接数字频率合成器的三种技术方案

实现直接数字频率合成器的三种技术方案 关键词:直接数字频率合成器 相位累加器 信号源 现场可编程门限列 1971年,美国学者J.Tierney等人撰写的“A Digital Frequency Synthesizer”- 文首次提出了以全数字技术,从相位概念出发直接合成所需波形的一种新给 成 原理。限于当时的技术和器件产,它的性牟指标尚不能与已有的技术盯比,故未 受到重视。近1年间,随着微电子技术的迅速发展,直接数字频率合成器(Direct Digital Frequency Synthesis简称DDS或DDFS)得到了飞速的发展,它以有别于其 它频率合成方法的优越性能和特点成为现代频率合成技术中的姣姣者。具体体现 在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正 交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有 极高的性价比。

1 DDS基本原理及性能特点 DDS的基本大批量是利用采样定量,通过查表法产生波形。DDS的结构有 很多种,其基本的电路原理可用图1来表示。

相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲 fs,加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果 送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频 率控制字相加。这样,相位累加器在时钟作用下,不断对频率控制字进行线性相 位加累加。由此可以看出,相位累加器在每一个中输入时,把频率控制字累加一 次,相位累加器输出的数据就是合成信号的相位,相位累加器的出频率就是DDS 输出的信号频率。

用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址。这 样就可把存储在波形存储器内的波形抽样值(二进制编码)经查找表查出,完成 相位到幅值转换。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式 的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需 要的取样分量,以便输出频谱纯净的正弦波信号。

DDS在相对带宽、频率转换时间、高分头放力、相位连续性、正交输出以 及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平, 为系统提供了优于模拟信号源的性能。(1)输出频率相对带宽较宽 输出频率带宽为50%fs(理论值)。但考虑到低通滤波器的特性和设计难 度以及对输出信号杂散的抑制,实际的输出频率带宽仍能达到40%fs。

(2)频率转换时间短 DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时 间极短。事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之后按照 新的相位增量累加,才能实现频率的转换。因此,频率时间等于频率控制字的传 输,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS的频率转 换时间可达纳秒数量级,比使用其它的频率合成方法都要短数个数量级。

(3)频率分辨率极高 若时钟fs的频率不变,DDS的频率分辨率就是则相位累加器的位数N决定。

只要增加相位累加器的位数N即可获得任意小的频率分辨率。目前,大多数DDS 的分辨率在1Hz数量级,许多小于1mHz甚至更小。

(4)相位变化连续 改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,相位函数 的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相 位的连续性。

(5)输出波形的灵活性 只要在DDS内部加上相应控制如调频控制FM、调相控制PM和调幅控制 AM,即可以方便灵活地实现调频、调相和调幅功能,产生FSK、PSK、ASK和 MSK等信号。另外,只要在DDS的波形存储器存放不同波形数据,就可以实现 各种波形输出,如三角波、锯齿波和矩形波甚至是任意的波形。当DDS的波形存 储器分别存放正弦和余弦函数表时,既可得到正交的两路输出。

(6)其他优点 由于DDS中几乎所有部件都属于数字电路,易于集成,功耗低、体积小、重量轻、可靠性高,且易于程控,使用相当灵活,因此性价比极高。

DDS也有局限性,主要表现在:
(1)输出频带范围有限 由于DDS内部DAC和波形存储器(ROM)的工作速度限制,使得DDS输 出的最高频有限。目前市场上采用CMOS、TTL、ECL工艺制作的DDS工习片, 工作频率一般在几十MHz至400MHz左右。采用GaAs工艺的DDS芯片工作频率可 达2GHz左右。

(2)输出杂散大 由于DDS采用全数字结构,不可避免地引入了杂散。其来源主要有三个:
相位累加器相位舍位误差造成的杂散;
幅度量化误差(由存储器有限字长引起) 造成的杂散和DAC非理想特性造成的杂散。

2 实现DDS的三种技术方案 2.1 采用高性能DDS单片电路的解决方案 随着微电子技术的飞速发展,目前高超 性能优良的DDS产品不断推出, 主要有Qualcomm、AD、Sciteg和Stanford等公司单片电路(monolithic)。Qualcomm 公司推出了DDS系列Q2220、Q2230、Q2334、Q2240、Q2368,其中Q2368的时 钟频率为130MHz,分辨率为0.03Hz,杂散控制为-76dBc,变频时间为0.1μs;美国 AD公司也相继推出了他们的DDS系列:AD9850、AD9851、可以实现线性调频 的AD9852、两路正交输出的AD9854以及以DDS为核心的QPSK调制器AD9853、 数字上变频器AD9856和AD9857.AD公司的DDS系列产品以其较高的性能价格比, 目前取得了极为广泛的应用。AD公司的常用DDS芯片选用列表见表1.下面仅对 比较常用的AD9850芯片作一简单介绍。

表1 AD公司的常用DDS芯片选用列表 AD9850是AD公司采用先进的DDS技术1996年推出的高集成度DDS频率 合成器,它内部包括可编程DDS系统、高性能DAC及高速比较器,能实现全数字 编程控制的频率合成器和时钟发生器。接上精密时钟源,AD9850可产生一个频 谱纯净、频率和相位都可编程控制的模拟正弦波输出。此正弦波可直接用作频率信号源或转换成方波用作时钟输出。AD9850接口控制简单,可以用8位并行口或 串行口经、相位等控制数据。32位频率控制字,在125MHz时钟下,输出频率分 产率达0.029Hz。先进的CMOS工艺使AD9850不仅性能指标一流,而且功耗少, 在3.3V供电时,功耗仅为155mW。扩展工业级温度范围为-40~+85摄氏度,其封 装是28引脚的SSOP表面封装。

AD9850采用32位相位累加器,截断成14位,输入正弦查询表,查询表输 出截断成10位,输入到DAC。DAC输出两个互补的模拟电流,接到滤波器上。

调节DAC满量程输出电流,需外接一个电阻Rset,其调节关系是Iset=32 (1.248V/Rset),满量程电流为10~20mA。

2.2 采用低频正弦波DDS单片电路的解决方案 Micro Linear公司的电源管理事业部推出低频正弦波DDS单片电路 ML2035以其价格低廉、使用简单得到广泛应用。ML2035特性:(1)输出频率 为直流到25kHz,在时钟输入为12.352MHz野外频率分辨率可达到1.5Hz(-0.75 ~+0.75Hz),输出正弦波信号的峰-峰值为Vcc;
(2)高度集成化,无需或仅需 极少的外接元件支持,自带3~12MHz晶体振荡电路;
(3)兼容的3线SPI串行输 入口,带双缓冲,能方便地配合单片机使用;
(4)增益误差和总谐波失真很低。

ML2035为DIP-8封装,各引脚功能如下:
(1)Vss:-5V电源;

(2)SCK:串行时钟输入,在上升沿将串行数据锁入16位移位寄存器;

(3)SID:串行数据输入,该串行数据为频率控制字,决定6脚输出的频 率;

(4)LATI:串行数据锁存,在下降沿将频率控制字锁入16位数据锁存器;

(5)Vcc:+5电源;

(6)Vout:模拟信号输出;

(7)GND:公共地,输入、输出均以此点作为参考点;

(8)CLK IN:时钟输入,可外接时钟或石英晶体。ML2035生成的频率较低(0~25kHz),一般应用于一些需产生的频率为 工频和音频的场合。如用2片ML2035产生多频互控信号,并与AMS3104(多频 接收芯片)或ML2031/2032(音频检波器)配合,制作通信系统中的收发电路等。

可编程正弦波发生器芯片ML2035设计巧妙,具有可编程、使用方便、价 格低廉等优点,应用范围广泛。很适合需要低成本、高可靠性的低频正弦波信号 的场合。

ML2037是新一代低频正弦波DDS单片电路,生成的最高频可达500kHz。

2.3 自行设计的基于FPGA芯片的解决方案 DDS技术的实现依赖于高速、高性能的数字器件。可编程逻辑器件以其速 度高、规模在、可编程,以及有强大EDA软件支持等特性,十分适合实现DDS 技术。Altera是著名的PLD生产厂商,多年来一直占据着行业领先的地位。Altera 的PLD具有高性能、高集成度和高性价比的优点,此外它还提供了功能全面的开 发工具和丰富的IP核、宏功能外它还提供了功能全面的开发工具和丰富的IP核、 宏功能库等,因此Altera的产品获得了广泛的应用。Altera的产品有多个系列,按 照推出的先后顺序依次为Classic系列、MAX(Multiple Array Matrix)系列、FLEX (Flexible Logic Element Matrix)系列、APEX(Advanced Logic Element Matrix) 系列、ACEX系列、Stratix系列以及Cyclone等。

Max+plusII是Altera提供的一个完整的EDA开发软件,可完成从设备输入、 编译、逻辑综合、器件适配、设计仿真、定时分析、器件编程的所有过程。QuartusII 是Altera近几年来推出的新一代可编程逻辑器件设计环境,其功能更为强大。

用Max+plusII设计DDS系统数字部分最简单的方法是采用原理图输入。相 位累加器调用lmp_add_sub加减法器模拟,相位累加器的好坏将直接影响到整个 系统的速度,采用流水线技术能大幅度地提升速度。波形存储器(ROM)通过 调用lpm_rom元件实现,其LPM_FILE的值*.mif是一个存放波形幅值的文件。波 形存储器设计主要考虑的问题是其容量的大小,利用波形幅值的奇、偶对称特性, 可以节省3/4的资源,这是非常可观的。为了进一步优化速度的设计,可以选择 菜单Assign|Globan Project Logic Synthesis的选项Optimize10(速度),并设定 Global Project Logic Synthesis Style为FAST,经寄存器性能分析最高频率达到 100MHz以上。用FPGA实现的DDS能工用在如此之高的频率主要依赖于FPGA先进的结构特点。

虽然有的专用DDS芯片的功能也比较多,但控制方式却是固定的,因此不 一定是我们所需要的。而利用FPGA则可以根据需要方便地实现各种比较复杂的 调频、调相和调幅功能,具有良好的实用性。就可成信号质量而言,专用DDS 芯片由于采用特定的集成工艺,内部数字信号抖动很小,可以输出高质量的模拟 信号;
利用FPGA也能输出较高质量的信号,虽然达不到专用DDS芯片的水平, 但信号精度误差在允许范围之内。

DDS问世之初,构成DDS元器件的速度的限制和数字化引起的噪声这两个 主要缺点阻碍了DDS的发展与实际应用。近几年超高速数字电路的发展以及对 DDS的深入研究,DDS的最高工作频率以及噪声性能已接近并达到锁相频率合成 器相当的水平。随着这种频率合成技术的发展,现已广泛应用于通讯、导航、雷 达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。